Skip to main content

23.04.2024 | Research Article

Cross-layer analysis of clock glitch fault injection while fetching variable-length instructions

verfasst von: Ihab Alshaer, Gijs Burghoorn, Brice Colombier, Christophe Deleuze, Vincent Beroulle, Paolo Maistri

Erschienen in: Journal of Cryptographic Engineering

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

With the increasing complexity of embedded systems, the use of variable-length instruction sets has become essential, so that higher code density and better performance can be achieved. Security aspects are closely linked, considering the continuous improvement of attack techniques and equipment. Fault injection is among the most interesting and rising physical attack techniques. However, hardware designers and software developers lack accurate fault models to evaluate the vulnerabilities of their designs or codes in the presence of such attacks. In this article, we provide a proper characterization, at instruction set architecture (ISA) level, of several faulty behaviors that are experimentally observed when a processor running a variable-length instruction set is targeted. We include the binary encoding of instructions, and show how the obtained behaviors depend on the alignment in memory. Moreover, we give a deeper insight on previous results from the literature, that were still left unexplained. Additionally, we move downward at system level and consider the register-transfer level (RTL) to perform RTL fault simulation; This enables a better understanding of the faults propagation, validate the inferred fault models at ISA level, and reveal the origin of such faults at microarchitectural level. Finally, applying the given fault models leads us to provide vulnerability analysis on three different implementations of AES.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Bar-El, H., Choukri, H., Naccache, D., Tunstall, M., Whelan, C.: The sorcerer’s apprentice guide to fault attacks. Proc. IEEE 94(2), 370–382 (2006)CrossRef Bar-El, H., Choukri, H., Naccache, D., Tunstall, M., Whelan, C.: The sorcerer’s apprentice guide to fault attacks. Proc. IEEE 94(2), 370–382 (2006)CrossRef
2.
Zurück zum Zitat Baumann, R.C.: Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mater. Reliab. 5(3), 305–316 (2005)CrossRef Baumann, R.C.: Radiation-induced soft errors in advanced semiconductor technologies. IEEE Trans. Device Mater. Reliab. 5(3), 305–316 (2005)CrossRef
3.
Zurück zum Zitat Colombier, B., Menu, A., Dutertre, J.-M., Moëllic, P.-A., Rigaud, J.-B., Danger, J.-L.: Laser-induced single-bit faults in flash memory: instructions corruption on a 32-bit microcontroller. In: 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 1–10. IEEE, McLean, United States (2019) Colombier, B., Menu, A., Dutertre, J.-M., Moëllic, P.-A., Rigaud, J.-B., Danger, J.-L.: Laser-induced single-bit faults in flash memory: instructions corruption on a 32-bit microcontroller. In: 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 1–10. IEEE, McLean, United States (2019)
4.
Zurück zum Zitat Werner, V., Maingault, L., Potet, M.: An end-to-end approach for multi-fault attack vulnerability assessment. In: Workshop on Fault Detection and Tolerance in Cryptography, pp. 10–17. IEEE, Milan, Italy (2020) Werner, V., Maingault, L., Potet, M.: An end-to-end approach for multi-fault attack vulnerability assessment. In: Workshop on Fault Detection and Tolerance in Cryptography, pp. 10–17. IEEE, Milan, Italy (2020)
5.
Zurück zum Zitat Rivière, L., Najm, Z., Rauzy, P., Danger, J.-L., Bringer, J., Sauvage, L.: High precision fault injections on the instruction cache of ARMv7-M architectures. In: 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 62–67 (2015) Rivière, L., Najm, Z., Rauzy, P., Danger, J.-L., Bringer, J., Sauvage, L.: High precision fault injections on the instruction cache of ARMv7-M architectures. In: 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 62–67 (2015)
6.
Zurück zum Zitat Proy, J., Heydemann, K., Berzati, A., Majéric, F., Cohen, A.: A first ISA-level characterization of EM pulse effects on superscalar microarchitectures: a secure software perspective. In: Proceedings of the 14th International Conference on Availability, Reliability and Security, ARES 2019, Canterbury, UK, August 26-29, 2019, pp. 7–1710. ACM Proy, J., Heydemann, K., Berzati, A., Majéric, F., Cohen, A.: A first ISA-level characterization of EM pulse effects on superscalar microarchitectures: a secure software perspective. In: Proceedings of the 14th International Conference on Availability, Reliability and Security, ARES 2019, Canterbury, UK, August 26-29, 2019, pp. 7–1710. ACM
7.
Zurück zum Zitat Timmers, N., Spruyt, A., Witteman, M.: Controlling PC on ARM using fault injection. In: 2016 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC), pp. 25–35 (2016) Timmers, N., Spruyt, A., Witteman, M.: Controlling PC on ARM using fault injection. In: 2016 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC), pp. 25–35 (2016)
8.
Zurück zum Zitat Yuce, B., Ghalaty, N.F., Santapuri, H., Deshpande, C., Patrick, C., Schaumont, P.: Software fault resistance is futile: effective single-glitch attacks. In: 2016 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC), pp. 47–58 (2016) Yuce, B., Ghalaty, N.F., Santapuri, H., Deshpande, C., Patrick, C., Schaumont, P.: Software fault resistance is futile: effective single-glitch attacks. In: 2016 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC), pp. 47–58 (2016)
9.
Zurück zum Zitat Alshaer, I., Colombier, B., Deleuze, C., Beroulle, V., Maistri, P.: Microarchitecture-aware fault models: experimental evidence and cross-layer inference methodology. In: 2021 16th International Conference on Design Technology of Integrated Systems in Nanoscale Era (DTIS), pp. 1–6 (2021) Alshaer, I., Colombier, B., Deleuze, C., Beroulle, V., Maistri, P.: Microarchitecture-aware fault models: experimental evidence and cross-layer inference methodology. In: 2021 16th International Conference on Design Technology of Integrated Systems in Nanoscale Era (DTIS), pp. 1–6 (2021)
10.
Zurück zum Zitat Skorobogatov, S.: Local heating attacks on flash memory devices. In: 2009 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 1–6 (2009) Skorobogatov, S.: Local heating attacks on flash memory devices. In: 2009 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 1–6 (2009)
11.
Zurück zum Zitat Alshaer, I., Colombier, B., Deleuze, C., Maistri, P., Beroulle, V.: Cross-layer inference methodology for microarchitecture-aware fault models. Microelectron. Reliab. 139, 114841 (2022)CrossRef Alshaer, I., Colombier, B., Deleuze, C., Maistri, P., Beroulle, V.: Cross-layer inference methodology for microarchitecture-aware fault models. Microelectron. Reliab. 139, 114841 (2022)CrossRef
12.
Zurück zum Zitat Menu, A., Dutertre, J.-M., Potin, O., Rigaud, J.-B., Danger, J.-L.: Experimental analysis of the electromagnetic instruction skip fault model. In: 2020 15th Design Technology of Integrated Systems in Nanoscale Era (DTIS), pp. 1–7 (2020) Menu, A., Dutertre, J.-M., Potin, O., Rigaud, J.-B., Danger, J.-L.: Experimental analysis of the electromagnetic instruction skip fault model. In: 2020 15th Design Technology of Integrated Systems in Nanoscale Era (DTIS), pp. 1–7 (2020)
13.
Zurück zum Zitat Trouchkine, T., Bouffard, G., Clédière, J.: EM fault model characterization on SoCs: From different architectures to the same fault model. In: 2021 Workshop on Fault Detection and Tolerance in Cryptography (FDTC), pp. 31–38 (2021). IEEE Trouchkine, T., Bouffard, G., Clédière, J.: EM fault model characterization on SoCs: From different architectures to the same fault model. In: 2021 Workshop on Fault Detection and Tolerance in Cryptography (FDTC), pp. 31–38 (2021). IEEE
14.
Zurück zum Zitat Timmers, N., Mune, C.: Escalating privileges in linux using voltage fault injection. In: 2017 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC), pp. 1–8 (2017) Timmers, N., Mune, C.: Escalating privileges in linux using voltage fault injection. In: 2017 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC), pp. 1–8 (2017)
15.
Zurück zum Zitat Gratchoff, J., Timmers, N., Spruyt, A., Chmielewski, L.: Proving the wild jungle jump. Technical report, Technical report, University of Amsterdam (2015) Gratchoff, J., Timmers, N., Spruyt, A., Chmielewski, L.: Proving the wild jungle jump. Technical report, Technical report, University of Amsterdam (2015)
16.
Zurück zum Zitat Alshaer, I., Colombier, B., Deleuze, C., Beroulle, V., Maistri, P.: Variable-length instruction set: feature or bug? In: 25th Euromicro Conference on Digital System Design, DSD 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022, pp. 464–471. IEEE Alshaer, I., Colombier, B., Deleuze, C., Beroulle, V., Maistri, P.: Variable-length instruction set: feature or bug? In: 25th Euromicro Conference on Digital System Design, DSD 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022, pp. 464–471. IEEE
17.
Zurück zum Zitat Pan, H.: High performance, variable-length instruction encodings. PhD thesis, Massachusetts Institute of Technology (2002) Pan, H.: High performance, variable-length instruction encodings. PhD thesis, Massachusetts Institute of Technology (2002)
20.
Zurück zum Zitat Waterman, A., Lee, Y., Patterson, D.A., Asanović, K.: The RISC-V compressed instruction set manual, version 1.7. EECS Department, University of California, Berkeley, UCB/EECS-2015-157 (2015) Waterman, A., Lee, Y., Patterson, D.A., Asanović, K.: The RISC-V compressed instruction set manual, version 1.7. EECS Department, University of California, Berkeley, UCB/EECS-2015-157 (2015)
26.
Zurück zum Zitat Intel Corporation: Intel®64 and IA-32 Architectures Software Developer Manuals, Volume 3A: System Programming Guide, Part 1. Intel Corporation, Santa Clara, CA (2016) Intel Corporation: Intel®64 and IA-32 Architectures Software Developer Manuals, Volume 3A: System Programming Guide, Part 1. Intel Corporation, Santa Clara, CA (2016)
28.
Zurück zum Zitat Harris, S.L., Harris, D.M.: 3 - sequential logic design. In: Harris, S.L., Harris, D.M. (eds.) Digital Design and Computer Architecture, pp. 108–171. Morgan Kaufmann, Boston (2016)CrossRef Harris, S.L., Harris, D.M.: 3 - sequential logic design. In: Harris, S.L., Harris, D.M. (eds.) Digital Design and Computer Architecture, pp. 108–171. Morgan Kaufmann, Boston (2016)CrossRef
31.
Zurück zum Zitat Markovic, D., Nikolic, B., Brodersen, R.: Analysis and design of low-energy flip-flops. In: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pp. 52–55 (2001) Markovic, D., Nikolic, B., Brodersen, R.: Analysis and design of low-energy flip-flops. In: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pp. 52–55 (2001)
32.
Zurück zum Zitat O’Flynn, C., Chen, Z.D.: Chipwhisperer: An open-source platform for hardware embedded security research. In: Prouff, E. (ed.) International Workshop on Constructive Side-Channel Analysis and Secure Design. Lecture Notes in Computer Science, vol. 8622, pp. 243–260. Springer, Paris, France (2014) O’Flynn, C., Chen, Z.D.: Chipwhisperer: An open-source platform for hardware embedded security research. In: Prouff, E. (ed.) International Workshop on Constructive Side-Channel Analysis and Secure Design. Lecture Notes in Computer Science, vol. 8622, pp. 243–260. Springer, Paris, France (2014)
33.
Zurück zum Zitat Zussa, L., Dutertre, J.-M., Clédière, J., Robisson, B., Tria, A.: Investigation of timing constraints violation as a fault injection means. In: 27th Conference on Design of Circuits and Integrated Systems (DCIS), Avignon, France, p. (2012) Zussa, L., Dutertre, J.-M., Clédière, J., Robisson, B., Tria, A.: Investigation of timing constraints violation as a fault injection means. In: 27th Conference on Design of Circuits and Integrated Systems (DCIS), Avignon, France, p. (2012)
34.
Zurück zum Zitat Zussa, L., Dutertre, J.-M., Clédière, J., Tria, A.: Power supply glitch induced faults on fpga: an in-depth analysis of the injection mechanism. In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), pp. 110–115 (2013) Zussa, L., Dutertre, J.-M., Clédière, J., Tria, A.: Power supply glitch induced faults on fpga: an in-depth analysis of the injection mechanism. In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), pp. 110–115 (2013)
35.
Zurück zum Zitat Selmane, N., Bhasin, S., Guilley, S., Danger, J.: Security evaluation of application-specific integrated circuits and field programmable gate arrays against setup time violation attacks. IET Inf. Secur. 5(4), 181–190 (2011)CrossRef Selmane, N., Bhasin, S., Guilley, S., Danger, J.: Security evaluation of application-specific integrated circuits and field programmable gate arrays against setup time violation attacks. IET Inf. Secur. 5(4), 181–190 (2011)CrossRef
36.
Zurück zum Zitat Bayon, P., Bossuet, L., Aubert, A., Fischer, V., Poucheret, F., Robisson, B., Maurine, P.: Contactless electromagnetic active attack on ring oscillator based true random number generator. In: Schindler, W., Huss, S.A. (eds.) Constructive Side-Channel Analysis and Secure Design, pp. 151–166 (2012) Bayon, P., Bossuet, L., Aubert, A., Fischer, V., Poucheret, F., Robisson, B., Maurine, P.: Contactless electromagnetic active attack on ring oscillator based true random number generator. In: Schindler, W., Huss, S.A. (eds.) Constructive Side-Channel Analysis and Secure Design, pp. 151–166 (2012)
37.
Zurück zum Zitat Ghodrati, M., Yuce, B., Gujar, S., Deshpande, C., Nazhandali, L., Schaumont, P.: Inducing local timing fault through EM injection. In: Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018, pp. 142–11426. ACM Ghodrati, M., Yuce, B., Gujar, S., Deshpande, C., Nazhandali, L., Schaumont, P.: Inducing local timing fault through EM injection. In: Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018, pp. 142–11426. ACM
38.
Zurück zum Zitat Tang, A., Sethumadhavan, S., Stolfo, S.J.: CLKSCREW: exposing the perils of security-oblivious energy management. In: Kirda, E., Ristenpart, T. (eds.) 26th USENIX Security Symposium, USENIX Security 2017, Vancouver, BC, Canada, August 16-18, 2017, pp. 1057–1074. USENIX Association Tang, A., Sethumadhavan, S., Stolfo, S.J.: CLKSCREW: exposing the perils of security-oblivious energy management. In: Kirda, E., Ristenpart, T. (eds.) 26th USENIX Security Symposium, USENIX Security 2017, Vancouver, BC, Canada, August 16-18, 2017, pp. 1057–1074. USENIX Association
39.
Zurück zum Zitat Murdock, K., Oswald, D.F., Garcia, F.D., Bulck, J.V., Piessens, F., Gruss, D.: Plundervolt: How a little bit of undervolting can create a lot of trouble. IEEE Secur. Priv. 18(5), 28–37 (2020)CrossRef Murdock, K., Oswald, D.F., Garcia, F.D., Bulck, J.V., Piessens, F., Gruss, D.: Plundervolt: How a little bit of undervolting can create a lot of trouble. IEEE Secur. Priv. 18(5), 28–37 (2020)CrossRef
40.
Zurück zum Zitat Qiu, P., Wang, D., Lyu, Y., Tian, R., Wang, C., Qu, G.: Voltjockey: A new dynamic voltage scaling-based fault injection attack on intel SGX. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(6), 1130–1143 (2021)CrossRef Qiu, P., Wang, D., Lyu, Y., Tian, R., Wang, C., Qu, G.: Voltjockey: A new dynamic voltage scaling-based fault injection attack on intel SGX. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(6), 1130–1143 (2021)CrossRef
42.
Zurück zum Zitat Agoyan, M., Dutertre, J.-M., Naccache, D., Robisson, B., Tria, A.: When clocks fail: On critical paths and clock faults. In: Gollmann, D., Lanet, J.-L., Iguchi-Cartigny, J. (eds.) Smart Card Research and Advanced Application, pp. 182–193. Springer, Berlin, Heidelberg (2010)CrossRef Agoyan, M., Dutertre, J.-M., Naccache, D., Robisson, B., Tria, A.: When clocks fail: On critical paths and clock faults. In: Gollmann, D., Lanet, J.-L., Iguchi-Cartigny, J. (eds.) Smart Card Research and Advanced Application, pp. 182–193. Springer, Berlin, Heidelberg (2010)CrossRef
43.
Zurück zum Zitat Li, Y., Ohta, K., Sakiyama, K.: New fault-based side-channel attack using fault sensitivity. IEEE Trans. Inf. Forensics Secur. 7(1), 88–97 (2012)CrossRef Li, Y., Ohta, K., Sakiyama, K.: New fault-based side-channel attack using fault sensitivity. IEEE Trans. Inf. Forensics Secur. 7(1), 88–97 (2012)CrossRef
44.
Zurück zum Zitat Alshaer, I., Colombier, B., Deleuze, C., Beroulle, V., Maistri, P.: Microarchitectural Insights into Unexplained Behaviors under Clock Glitch Fault Injection. In: Springer (ed.) 22nd Smart Card Research and Advanced Application Conference (CARDIS 2023). Lecture Notes in Computer Science (LNCS), pp. 1–20. Springer, Amsterdam, Netherlands (2023). https://hal.science/hal-04273995 Alshaer, I., Colombier, B., Deleuze, C., Beroulle, V., Maistri, P.: Microarchitectural Insights into Unexplained Behaviors under Clock Glitch Fault Injection. In: Springer (ed.) 22nd Smart Card Research and Advanced Application Conference (CARDIS 2023). Lecture Notes in Computer Science (LNCS), pp. 1–20. Springer, Amsterdam, Netherlands (2023). https://​hal.​science/​hal-04273995
45.
Zurück zum Zitat Moro, N., Dehbaoui, A., Heydemann, K., Robisson, B., Encrenaz, E.: Electromagnetic fault injection: Towards a fault model on a 32-bit microcontroller. In: Fischer, W., Schmidt, J. (eds.) Workshop on Fault Diagnosis and Tolerance in Cryptography 3, pp. 77–88. IEEE Computer Society, Los Alamitos, CA, USA (2013) Moro, N., Dehbaoui, A., Heydemann, K., Robisson, B., Encrenaz, E.: Electromagnetic fault injection: Towards a fault model on a 32-bit microcontroller. In: Fischer, W., Schmidt, J. (eds.) Workshop on Fault Diagnosis and Tolerance in Cryptography 3, pp. 77–88. IEEE Computer Society, Los Alamitos, CA, USA (2013)
46.
Zurück zum Zitat Spensky, C., Machiry, A., Burow, N., Okhravi, H., Housley, R., Gu, Z., Jamjoom, H., Kruegel, C., Vigna, G.: Glitching demystified: analyzing control-flow-based glitching attacks and defenses. In: 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), pp. 400–412 (2021). IEEE Spensky, C., Machiry, A., Burow, N., Okhravi, H., Housley, R., Gu, Z., Jamjoom, H., Kruegel, C., Vigna, G.: Glitching demystified: analyzing control-flow-based glitching attacks and defenses. In: 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), pp. 400–412 (2021). IEEE
47.
Zurück zum Zitat Benso, A., Di Carlo, S., Di Natale, G., Prinetto, P.: Static analysis of seu effects on software applications. In: Proceedings. International Test Conference, pp. 500–508 (2002) Benso, A., Di Carlo, S., Di Natale, G., Prinetto, P.: Static analysis of seu effects on software applications. In: Proceedings. International Test Conference, pp. 500–508 (2002)
48.
49.
Zurück zum Zitat Roemer, R., Buchanan, E., Shacham, H., Savage, S.: Return-oriented programming: Systems, languages, and applications. ACM Trans. Inf. Syst. Secur. (TISSEC) 15(1), 1–34 (2012)CrossRef Roemer, R., Buchanan, E., Shacham, H., Savage, S.: Return-oriented programming: Systems, languages, and applications. ACM Trans. Inf. Syst. Secur. (TISSEC) 15(1), 1–34 (2012)CrossRef
50.
Zurück zum Zitat Daemen, J., Rijmen, V.: Rijndael for AES. In: The Third Advanced Encryption Standard Candidate Conference, pp. 343–348. National Institute of Standards and Technology, New York, USA (2000) Daemen, J., Rijmen, V.: Rijndael for AES. In: The Third Advanced Encryption Standard Candidate Conference, pp. 343–348. National Institute of Standards and Technology, New York, USA (2000)
Metadaten
Titel
Cross-layer analysis of clock glitch fault injection while fetching variable-length instructions
verfasst von
Ihab Alshaer
Gijs Burghoorn
Brice Colombier
Christophe Deleuze
Vincent Beroulle
Paolo Maistri
Publikationsdatum
23.04.2024
Verlag
Springer Berlin Heidelberg
Erschienen in
Journal of Cryptographic Engineering
Print ISSN: 2190-8508
Elektronische ISSN: 2190-8516
DOI
https://doi.org/10.1007/s13389-024-00352-6

Premium Partner